AggieFab Nanofabrication Facility

The AggieFab Nanofabrication Facility (AggieFab) is a shared nano/microfabrication facility that recently moved to the 1st floor of the Frederick E. Giesecke Engineering Research Building at Texas A&M University. The facility has over 6,500 sq. ft. of class 100/1000 cleanroom space with a raised access floor and vertical laminar flow. There is an additional 4,500 sq. ft. of support space, totaling 11,000 sq. ft. The facility and equipment have been developed over the past 20 years by many faculty members in the Department of Electrical and Computer Engineering with support from the department and the College of Engineering. The recent move to the newly built GERB was enabled by a $12M investment from the Texas A&M Engineering Experiment Station (TEES) along with additional support by the Department of Electrical and Computer Engineering. The facility has state-of-the-art equipment for a wide range of micro- and nano-scale fabrication of diverse materials. In addition, the facility recently (Fall 2017) acquired $5 M of new nanopatterning and deposition equipment through a donation from Hewlett Packard Enterprise (HPE). These include a Zeiss Orion HeliumIon Microscope/Nanofab, FEI Helios DualBeam Focused Ion Beam (FIB), ASM Atomic Layer Deposition (ALD) tool, and a Clustex Multi-target deposition tool. The facility also has recently received $1.5 M from the Texas A&M University Research Development Fund to purchase several new tools to improve micropatterning and provide advanced substrate development capabilities. The main supporters of the facility are the Department of Electrical and Computer Engineering, TEES, and the Office of the Vice President for Research. Additional support is received from the Departments of Mechanical Engineering, Material Science and Engineering, Chemical Engineering, and Biomedical Engineering.

AggieFab has its roots in the fabrication facilities developed over the years within the Solid State Group of the Electrical and Computer Engineering Department and is dedicated to the memory of Henry F. Taylor. The facility is currently supported by major financial contributions from the Department of Electrical and Computer Engineering, Texas A&M Engineering Experiment Station (TEES), and Division of Research of the Texas A&M University.

Equipment:

  • Nanoscribe Photonics GT2 high-resolution 3D printer: a maskless photolithography system that allows direct photolithography on any type of substrate (e.g., photomasks, semiconductors, glass, polymers, crystals, and flexible films) using a laser. Nanoscribe Photonic Professional GT2 uses Two-Photon Polymerization (2PP) to produce micro- and nanoscale structures with nearly any 3D shape. Photonic Professional GT2 systems combine flexibility and ease in design with a straightforward operation allowing it to be seamlessly utilized in multi-user facilities. High-speed 3D spatial microfabrication control allows user to print a broad range of structures at scales, speeds, and spatial controls that have not been previously realized.
  • SUSS MA6: a mask aligner designed for high-resolution photolithography at the micrometer scale. Wafer size: up to 4”, Mask size: up to 5”, Wavelength range: 350 – 450 nm, Exposure source: Hg lamp 350 W, Exposure contact: Soft, Hard, and Vacuum, Resolution: down to 1 µm, Alignment method: Top Side Alignment (TSA)
  • EVG 610 Double-Sided Mask Aligner: a semi-automated mask aligner capable of double-sided lithography. Mask size: 4 inches or 5 inches, Substrate size: Small pieces and 2-inch, 3-inch, and 4-inch substrates, Wavelengths available:365 nm, 405 nm, and 435 nm, Resolution:  > 1 um with optimum process conditions. The resolution also depends on the operating mode.Top-side and bottom-side alignment capabilities, UV light uniformity of +/- 3% from LED lamp during exposure.
  • BIDTEC SP100 Spin Coater: used for coating photoresist on samples with various sizes. The user can specify the rotations per minute (RPM) that are needed to uniformly spread the photoresist they are applying. Spin rate: up to 5000 RPM, Sample size: approximately 1″ wafer pieces to 4″ wafers.
  • FEI HELIOS NANOLAB 460F1 Dual-Focused Ion Beam (FIB): a DualBeam focused-ion beam (FIB) instrument capable of adding/removing materials at the nanometer scale and indicating the structure/composition. In AggieFab, it is tasked with nanomachining complex 3D structures using electron beam-induced deposition (EBID), ion milling and material manipulation. It is also used to prepare ultrathin samples for atomic scale analysis during transmission electron microscopy (TEM). Simultaneous imaging of secondary electron (SE) and backscattered electron (BSE) signals, Resolution: 0.7nm @ 1kV, opt WD (SEM), Resolution: 4.0nm @ 30 kV, coincident WD (FIB), Integrated EasyLift nanomanipulator for in-situ manipulation, High throughput TEM prep recipes, SDD Energy Dispersive Spectroscopy (EDS) capable, Flipstage 3 for faster STEM imaging
  • TESCAN MIRA3: FE-SEM equipped with electrostatic blanker and DrawBeam software in order to write features on photoresist with dimensions of a few tens of nanometers. Wafer Size: up to 20×20 mm, Source: Schottky Field Emission Gun, Acceleration voltage: 0.2-30 kV, Minimum electron beam spot size: ~ 2.5 nm, Minimum Electron beam current: ~10 pA, Import formats: GDSII and CAD
  • The Zeiss Orion Plus 5015 Helium Ion Microscope/NanoFab is the world’s first helium ion microscope, and it is used to either fabricate delicate sub-10nm structures or generate high-resolution images of the device. Scanning Ion Microscope, Gas Field Ion Source (GFIS) technology, Resolution: 0.5nm @ 30kV, Beam energy: 10 – 30 kV, Beam current: 0.1 – 100 pA, Detector: Everhart Thornley SE, Stage: motorized 5 axis eucentric stage
  • VEECO WYKO NT9100 Optical Profilometer: an optical profiler that can map surface contours without any contact through optical techniques. It is ideal for soft materials where a stylus-based surface profilometer can damage the structure being analyzed. Measurement capability: Non-contact three-dimensional topography and film thickness, Light Source: Green and white LEDs, Vertical measurement range: 0.1 nm to 10 mm, Vertical Resolution: <0.1 nm, RMS Repeatability: 0.05 nm, Vertical Scan Speed: up to 24μm/sec, Lateral Spatial Sampling: 0.1 to 13.2 μm
  • Bruker DektakXT Surface Profiler: a stylus-based surface profiler that is able to perform 3D scanning of surfaces to map their topography.Thin/thick film step height measurement, Technique: Contact measurement, Stylus sensor type: Thermally stable LVDT sensor, Stylus force: 1 – 15 mg, Stylus radius: 12.5 µm, Scan length range: 55 mm, Data points per scan: up to 120,000, Maximum wafer size: 200 mm (8 in.), Vertical range: 1 mm, Vertical resolution: 1 Å
  • Ocean Optics NanoCalc DUV Spectroscopic Thin Film Measurement tool utilizes spectroscopic reflectometry to accurately determine the thickness and optical parameters of thin films. Thickness range: 1 nm – 100 µm, Layers: up to 10 layers stack, Optical resolution: 0.1 nm, Repeatability: 0.3 nm, Wavelength range: 190 – 1100 nm, Angle of incidence: 90
  • The Micromanipulator 6100 Probe Station is equipped with HB 4155B analyzer is a system to evaluate the fundamental characteristics of semiconductor and electronic materials. 6” vacuum chuck, Platen accepts 4 manipulators, Enclosure with roll top door, 2 Leitz Wetzlar 10x/18 eyepieces, 3 Bausch & Lomb objectives (2.25, 8, 25), Equipped with HB 4155B analyzer with 4 SMUs , 2 VSUs, and 2 VMUs which is suited for semiconductor applications with 10 fA/1 µV resolution, and 100 mA/100 V measurement range.
  • The Veeco FPP 5000 Four Point Probe is a simple to use tool that measures wafer resistivity. The tool can accommodate up to 6″ wafers. Capable of measuring: sheet/slice resistivity, voltage/current, metal thickness, and material typing
  • Leica Reichert Polylite 88 Microscopes are available in two separate bays and use the Amscope Digital Software for analysis. The microscope is capable of bright field, dark field, and DIC. Magnification: 5x, 10x, 20x, 40x, and 50x
  • The Caltex 3-D HI-Scope KH-1000 Microscope contains a rotatable camera, has variable lenses that provide magnifications from 20x to 300x and can take angled images of a sample. This tool is mainly used for high-resolution images of samples with large features.
  • The Nikon Eclipse LV150N Optical Microscope has bright field (BF), dark field (DF) and differential interference contrast (DIC) capabilities. Magnifications: 5x, 10x, 20x, 50x, and 100x
  • The K & S Wire Bonder is used to bond aluminum or gold wire to a sample. The tool uses ultrasonic energy to break the wire once the bond is completed. The tool can bond a wire to two separate points on a single sample or bond to two separate samples. AggieFab Provided material: Aluminum Wire. Aluminum wire thickness: 20 – 76 µm
  • The MICRO AUTOMATION 1100 Dicing Saw is a microprocessor-controlled, programmable, and automatic dicing saw for cutting semiconductor wafers and glass substrates. AggieFab provides two separate dicing saws, one with optics installed for alignment and another with a monitor installed for alignment. Dicing Saw 1: Monitor based alignment (A5 on AggieFab schematic) Dicing Saw 2: Optics based alignment (A9 on AggieFab schematic) Cutting methods: Dicing and Scribing, Wafer dimensions: 2 – 6”, Wafer thickness: 1 – 500 mils, Spindle speed: 15,000 – 40,000 rpm, Operating temperature: 25°C
  • The EVG 501 Wafer Bonder provides various types of wafer bonding, including thermal bonding and anodic bonding. This equipment was purchased through the Texas A&M University Research Development Fund (RDF). Bonding Types: Thermo-compressive and anodic bonding. Wafer dimensions: Allows for bonding of <100 mm wafers up to 100 mm wafers. Smaller pieces can be also bonded. Operating temperature: Up to 450°C (150 mm top and bottom heaters) with passive cooling. Bond force: Up to 10 kN. Anodic bond package: 2000 V / 50 mA. Environments: Wafer contact in vacuum and/or other defined atmosphere. Compatible with EVG 610 Double Side Mask Aligner, allowing wafer alignment capability.
  • Cressington 108 Manual Sputter Coater: This tool is used to deposit a thin conductive layer of gold  on nonconductive samples for subsequent scanning electron microscopy (SEM) analysis.
  • MTI RTP  The MTI RTP (B2 on schematic) is a compact rapid thermal processing tube furnace that is designed for annealing wafers coated with metallic films and metal samples up to 1000°C. Tube: 4” O.D. quartz tube. Maximum Temperature: 1000°C (for <10/min). Working Temperature: 400°C (continuous), 600°C (< 1 hour). Suggested Normal Heating Rate: 10-25°C /sec. Maximum Heating Rate: 50°C /sec. Constant Temperature zone: 4″ (+/-1°C) @ 1000°C
  • MTI D3: The MTI furnace (B3 on schematic) is a compact rapid thermal processing tube furnace that is designed for annealing wafers coated with metals and metal samples up to 1200°C. Tube: 2” O.D. quartz tube. Maximum Temperature: 1200°C (for <1 hour). Continuous Working Temperature: 1000°C. Suggested Normal Heating Rate: ≤10°C /min. Maximum Heating Rate: 40°C /min (low temp) and 10°C /min (high temp). Constant Temperature zone: 2.3″ (60mm) (+/-1°C) @ 900°C.
  • MTI D2: The MTI RTP is a compact rapid thermal processing tube furnace that is designed for annealing semiconductor wafers and wafers coated with insulating films (not metals), such as SiO and SiN, up to 1000°C. Tube: 4” O.D. quartz tube. Maximum Temperature: 1000°C (for <10/min). Working Temperature: 400°C (continuous), 600°C (< 1 hour). Suggested Normal Heating Rate: 10-25°C /sec. Maximum Heating Rate: 50°C /sec. Constant Temperature zone: 4″ (+/-1°C) @ 1000°C
  • The Tystar Low Pressure Chemical Vapor Deposition (LPCVD) system is used to deposit high- and low-temperature silicon oxide and low-stress silicon nitride. Tube 1: NH3 and Dichlorosilane (DCS) are used to deposit low-stress Silicon Nitride up to 900C. Tube 3: O2 and Silane (4% SiH4/Argon) are used to deposit low-temperature Silicon Oxide up to 700C
  • The ASM P8200/P3000 Atomic Layer Deposition (ALD) tool is used to deposit various advanced high-k dielectric materials (hafnium oxide and hafnium silicate), high-k dielectric capping layers for metal gate work function tuning, high-speed aluminum oxide, conformal passivation layers, and high-k dielectric materials for micro-electrical mechanical systems (MEMS) applications. Wafer size: up to 8”. Solid source delivery with precise control over conversion of solid to gas to be delivered to the reactor. Laminar gas flow. Isothermal reactor. Available gases: N2 and Ar
  • The Lesker PVD 75 Electron Beam Evaporation 1 tool is a metal thin film deposition system based on the electron beam evaporation technique. The ability to control the deposition rate, obtain a film with low contamination, and obtain very high deposition rates are the advantages of electron beam evaporation. Deposition materials: Al*, Au, Cr*, Cu*, Mo, Ni*, Pt, Ta, Ti*, and W (* provided by AggieFab). Other materials can also be deposited upon request by a user and approved by the Material Review Board (MRB). Deposition orientation: Evaporation Up. Deposition sources: 4 pocket (multilayer deposition). Film thickness controller: Quartz Crystal Microbalance (QCM). Substrate size: up to 200 mm. Substrate rotation: up to 20 rpm
  • The Lesker PVD 75 Electron Beam Evaporation 2 tool is a metal thin film deposition system based on the electron beam evaporation technique. The ability to control the deposition rate, obtain a film with low contamination, and obtain very high deposition rates are the advantages of electron beam evaporation. Deposition materials: Al*, Au, Cr*, Cu*, Mo, Ni*, Pt, Ta, Ti*, and W (* provided by AggieFab). Other materials can also be deposited upon request by a user and approved by the Material Review Board (MRB). Deposition orientation: Evaporation Up. Deposition sources: 4 pocket (multilayer deposition). Film thickness controller: Quartz Crystal Microbalance (QCM). Substrate size: up to 200 mm. Substrate rotation: up to 20 rpm
  • The Oxford Plasmalab80Plus is used to conduct plasma enhanced chemical vapor deposition (PECVD) of high-quality SiOx, SiNx, and SiOxNy for a wide range of applications including photonics structures, passivation layers, and hard masks. Deposited films: SiOx and SiNx. Wafer size: up to 8”. Temperature: up to 400°C. Power: 300W 13.56MHz RF generator. Available gases: SiH4, NH3, N2O, N2, O2, and CF4. Total gas flow: 150 – 3000 sccm. Pressure: 200 – 2000 mTorr
  • The LESKER PVD 75 DC Sputtering is a thin film deposition system based on direct current (DC) sputtering. Conductive target materials can be sputter deposited in DC mode. Target materials: Al*, Cr*, Cu*, Mo, Nb, Ni*, Pt, Ta, Ti*, and W (* provided by AggieFab). Other materials can also be deposited upon request by a user and approved by the Material Review Board (MRB). Deposition orientation: Sputter Up. Substrate size: up to 150 mm. Substrate rotation: up to 20 rpm.
  • The LESKER PVD 75 RF Sputtering tool deposits thin films with the RF sputtering technique. The RF mode of sputter deposition is used to deposit thin layers of insulating materials. Target materials: Al2O3, AlN, ITO, Si, SiO2*, TaN, and TiN (* provided by AggieFab). Other materials can also be deposited upon request by a user and approval by the Material Review Board (MRB). Deposition orientation: Sputter Up. Substrate size: up to 150 mm. Substrate rotation: up to 20 rpm
  • The MINIBRUTE Oxidation /Anneal Furnace is an atmospheric furnace which is used for dry and wet oxidation of silicon wafers. Wafer size: 25-slot wafer carrier up to 3”. Gas cabinet: O2, N2, and steam generator available. Computer-controlled system Tube 1: up to 3-inch wafers, up to 1200C, and N2 and O2 available; this tube is generally used for metal anneals on Si and sintering processes. Tube 2: up to 3-inch wafers, up to 1200C, and N2, O2, and water bubbler are available; this tube is used for oxidation and Si/SiO2/SiNx only. Tube 3: up to 4-inch wafers, up to 1200C with shield, and N2, O2, and water bubbler are available; this tube is used for oxidation and Si/SiO2/SiNx only.
  • The PDS 2010 is a vacuum system used for the vapor deposition of Parylene polymer onto a variety of substrates. The clear polymer coating provides an extremely effective chemical and moisture barrier and has a high dielectric constant and mechanical strength. The Parylene process sublimates a dimer into a gaseous monomer. The monomer then polymerizes at room temperature onto the
    substrate. At the vacuum levels used, all sides of the substrate are uniformly impinged by the gaseous monomer, resulting in a conformal coating.

    Key Features:

    • Wafer size: From small pieces up to 8”
    • Can load non-flat samples
    • Rotating Platter/sample holder
    • Rough Vacuum
    • Mechanical Chiller
    • Semi-Automatic Run Mode

  • The Clustex 100sp physical vapor deposition (PVD) tool sputters thin films onto substrates that have a diameter up to 4”. The substrate can be coated either by one magnetron sputtering target or simultaneously by up to three magnetron sputtering targets. The process chamber is equipped with 20 magnetron targets. There are 16 RF and 3 DC sputtering heads as well as an ion source. Substrate temperature: up to 1000°C using a ceramic heater. Available gases: N2, Ar, and O2. Approved Target Materials: SiO2, W, VO2, Nb2O5, Si, TiN, Al2O3, Ir, NbO2, HfO2, V, TiO2, Ru, V2O5, Hf, Ti, Al, Cu, MgO, and SrTiO3. The approved materials list will change over time. Please contact the AggieFab staff with questions.
  • The Tegal Plasmaline 421 is a dedicated resist asher. Sample configurations: Non-flat samples can be processed and large pieces may be run individually (in a horizontal configuration). Substrate materials: Silicon, III-V semiconductors, glass, and metal substrates may be processed; multiple wafers can be processed in a cassette. RF power: 50-150 W. Process gases: O2 and Ar
  • The Nordson March CS-1701 is a reactive ion etching (RIE) system that is excellent for silicide etching and anisotropic etching of nitrides, oxides and polyimides. Wafer size: up to 6”. Power: 600 W maximum from a 13.56 MHz RF generator. Available gases: Ar, N2, O2, and CF4
  • The Oxford Plasmalab 100 is an Inductive Coupled Plasma Reactive Ion Etching (ICP RIE) tool. It is a multipurpose fluorocarbon-based system that provides users anisotropic etching of silicon, silicon oxide, and other dielectric materials. High etch rates are achieved by the presence of high ion and radical densities. Wafer size: up to 4”. Load-locked chamber. Temperature: -100 °C to 400 °C. Power: 400 W 13.56 MHz RF generator. ICP power: 200 – 2500 W. Total gas flow: 10 – 150 sccm. Pressure: 20 – 2000 mTorr. Helium backside cooling. Helium pressure: 0 – 30 Torr. Available gases: SF6, CHF3, He, Ar, and O2.
  • The STS ICP RIE is a high density plasma reactive ion etcher which is used for anisotropic high aspect ratio deep trench etching in silicon. Wafer size: up to 4”. Load-locked chamber. Power: 400 W 13.56 MHz RF generator. ICP power: 20 – 2500 W. Helium backside cooling. Available gases: SF6, CHF3, C2F6, He, Ar, O2.
  • The120D Laser Engraver is a CO2 laser-based machining tool that can engrave and cut on various materials, typically plastic. The machine is capable of controlling the laser power and writing speed, which allows controlling the depth of cutting. Dual-tube laserCurrently have one 60W laser tube installed. The second 60W tube can increase the total power to 120W, enabling engraving/cutting metals. Area: 18” x 32” Engraving Area. Resolution: Around 100 um line width (u: use special character micro). Structure Design File Type:‘.dwg’ format. Material Category: Most plastic
  • The Roland MDX-50 is a benchtop automatic milling system suitable for rapid prototyping. It can be used to mil various plastics and other soft materials, but not metal. Engraving is also possible. Feature size for this milling machine is dependent on the drill bits, but sub-100 micrometer features can be milled. Automatic Tool Changer. Target Materials: Plastic & Milling foam. Substrate Size: 9*12.0*3.9 in. Mechanical Resolution: 01mm/step. Rotary 4th axis also available. File format: Accepts STL files
  • Perfactory 3 Mini/Multi-Lens: F=60mm lens, Build Area: 90 x 67.5 x 230 mm, Enhanced Resolution Mode (ERM) Voxel Size XY:  39 micrometer, Dynamic Voxel Thickness  Z = 15 to 50 micrometer, Resolution: SXGA+ 2800 x 2100, Materials: Currently loaded with htm 140v2-green (Tensile Strength:60 MPa; Tg: 61°C), R11-red resign also available (tensile strength: 50 MPa; Tg: XXX)
  • Envision One cDLM Mechanical: Build Envelope: 180 x 101 x 175 mm (7.09 x 3.98 x 6.9 inches), Build Speed: up to 45mm/hour (material dependent), Native XY resolution: 93um, XY resolution with Contour Gray scaling: 60um, Dynamic Z resolution: 50um to 150um (material dependent), Data Handling: STL format, Material: E-model light Peach color (Tensile strength: 55Mpa, Viscosity: 150cP at 30 degree).
  • The Laurell Spin Coater is designed to spread photoresist at a user specified RPM. Sample Sizes: Up to 3″ wafers. RPM limits: 100 to 8,000 RPM.
  • The Baxter drying ovens allow users to dry their samples during the lithography process or store cleaned glassware after use. There are two ovens, one of which has a nitrogen environment. Typical temperatures: 95 F and 135 F. Temperature range: 0 F to 350 F